ASML

ASML: bate en la mayoría de métricas y espera que 2023 sea un buen año

ASML (Ticker: ASML; ISIN: NL0010273215) es el principal fabricante mundial de equipos para producir semiconductores, donde destacan sus especializados sistemas fotolitográficos, que requieren experiencia muy específica, para alcanzar una precisión de sólo unos pocos nanómetros. Suministra sistemas de litografía ultravioleta extrema y profunda que comprenden soluciones de litografía en inmersión y en seco para fabricar diversos nodos y tecnologías de semiconductores.

La EUV (litografía ultravioleta extrema) es el paso más caro para fabricar los microchips de procesadores avanzados que alimentan los centros de datos, coches, iPhones etc. Las máquinas sólo las fabrica ASML. La EUV sirve para imprimir diseños pequeños y complejos en microchips. Un pequeño porcentaje de las partículas de luz EUV llega a la superficie de una oblea de silicio, donde se imprimen los minúsculos diseños que determinan lo que hará cada chip. La demanda de esta tecnología se ha disparado durante la escasez mundial de chips.

A pesar de tener 21.000 millones de ventas al año (en 2016 eran de 6.800 millones), es la segunda empresa de Europa por capitalización (detrás de LVMH), ya que tiene un free float de 99%.

Resultados 4T

Respecto al consenso, en Ingresos ha batido por 1% con Margen Bruto 51,5% vs 49,3%, y en Ebit por 6%, aunque el margen ha perdido 7,7 puntos interanual hasta 33% vs 31,2% esperado. El Beneficio Neto (BPA) ha superado por 8% a pesar de que los pedidos hayan sido 2,5% inferiores. Las unidades de sistemas litográficos vendidos han estado 6% por encima de lo esperado hasta 106. Por último, el dividendo final para 2022 queda algo por debajo de lo esperado en 5,8€, que supone una rentabilidad de 1%.

ASML

En cuanto al guidance, para el 1T 2023 espera que los Ingresos sean un 4% superiores al consenso con Margen bruto en 49,5% vs 50,6% estimado. Para el 2023 esperan que los ingresos aumenten al menos un 25%, con Margen Bruto por encima del 50,5% de 2022. Además, espera suministrar 60 herramientas EUV (con un aumento de los ingresos del 40%) y 375 DUV (ultravioleta profundo que tiene un precio inferior). Para 2025 el objetivo de Ingresos sigue en 35.000 millones de €, con MB 55% y para 2030, los Ingresos siguen en 52.000 millones € con MB 58%.

Finalmente, el CEO comentó que la demanda sigue siendo superior a lo que ASML puede fabricar y los clientes indican que esperan que el mercado repunte en la segunda mitad del año.

Tesis

Es líder en el mercado de la litografía, controlando dos tercios del mercado DUV y disfrutando de una posición de monopolio en la próxima generación de tecnología litográfica, llamada EUV, esencial para los semiconductores cada vez más densos.

ASLM confía en la oportunidad de crecimiento actual, junto con una mejora del margen bruto. Las ventas y los beneficios de ASML deberían seguir apoyados por a la fuerte demanda de clientes de fundición como TSMC y Samsung, que están invirtiendo agresivamente en tecnología de 5, 4 y 3 nanómetros para chips avanzados. Las ventas de ASML de equipos de litografía ultravioleta extrema (EUV) podrían aumentar considerablemente cuando la tecnología de miniaturización de semiconductores de TSMC entre en la fase de 2 nanómetros en 2024.

Riesgos

La tensión geopolítica puede afectar tanto a la cadena de suministro como a las oportunidades de negocio. El sector de los chips se ha visto sacudido por el intento de EEUU de frenar las exportaciones de tecnología punta a China, que a su vez limita la demanda global. Además, Japón y los Países Bajos están cerca de acordar nuevos controles sobre las exportaciones de equipos de fabricación de chips a China.

Por otro lado, con las preocupaciones del ciclo y la subida de tipos, los inversores ajustaron su la valoración y las acciones cayeron de manera pronunciada entre noviembre del 2021 y noviembre del 2022. Pero dados 1) los compromisos de inversión a largo plazo de sus clientes, 2) la soberanía del silicio que conduce incluso a grandes desarrollos de capacidad y 3) el aumento de la participación de mercado en medio de una creciente inserción de EUV en lógica / DRAM, ASML sigue estando bien posicionada para resistir cualquier ciclo.

Técnico: el proceso correctivo del año pasado ha hecho suelo en la zona de 400€, donde asumimos que ha iniciado una nueva fase alcista con un objetivo a medio plazo en los máximos históricos de 777€. En el corto plazo la reciente superación de la zona de 580€ refuerza el escenario alcista. Esa zona debería ejercer ahora de soporte. Un proceso de consolidación por encima de ese nivel sería una señal de continuidad alcista y podría utilizarse para una entrada en el valor con un stop por debajo de 570€.

Singular Bank no le está recomendando la compra de estos productos en concreto y las decisiones que Este comentario tiene fines exclusivamente informativos, no pudiendo ser considerado en ningún caso como un elemento contractual, una recomendación, un asesoramiento personalizado o una oferta de inversión. El presente informe, propiedad de SINGULAR BANK S.A.U (Singular Bank), contiene información obtenida de fuentes consideradas como fiables. Las opiniones y estimaciones aquí realizadas pueden ser modificadas sin previo aviso. Rentabilidades pasadas no son un indicador fiable de rentabilidades futuras. Esta información tampoco puede considerarse como sustitutiva de los Folletos del Emisor o de cualquier otra información legal perceptiva, por lo que te recomendamos que consultes dicha información antes de llevar a cabo cualquier decisión de inversión. Dicha información legal está a tu disposición en www.cnmv.es.